透视Virtex-4超高效且低功耗的奥秘

本文作者:admin       点击: 2005-09-12 00:00
前言:
功耗主要有两部分构成:静态功耗和动态功耗。静态功耗主要由晶体管漏电流决定。该电流在资料手册中列出时,用ICCINTQ表示,是指从VCCINT电源流入FPGA内核的电流。动态功耗来自于FPGA内核晶体管开关功耗和I/O的开关功耗。动态功耗由节点电容、电源电压、开关频率决定,遵循基本公式P=CV2f。



即便是和Virtex-II Pro器件相较,Virtex-4器件中的静态和动态功耗也已大幅降低,Virtex-4产品系列在几个关键方面降低了功耗。与130 nm Virtex-II Pro FPGA和其它90 nm FPGA相比,每个CLB的功耗降低了一半,静态功耗降低了40%,动态功耗降低了50%。而且,与在可配置逻辑模块和可编程互联机中实现同样的功能相比,Virtex-4 FPGA中的某些硬逻辑功能将功耗降低了80%~95%。

  

降低功耗的优势

此外,全面的功耗计算工具可以随时帮助预先了解Xilinx FPGA在其工作条件下的功耗。降低功耗的好处包括简化产品的散热设计,以及使电源设计变得更容易(见图1)。

 降低散热要求:当器件或系统的功耗降低时,使用的散热器更小,或者有时根本不用散热器。由于对气流和风扇尺寸的要求降低,散热系统设计也更简单。



 更容易的电源设计:您也可以使用更小的电源电路、减少使用的器件数目。占用更小的PCB面积,让您能降低电源系统的成本。而且,由于器件消耗的功率更低,通过降低FPGA芯片的温度,可以实现更高的可靠性。



在90 nm技术中减小晶体管的尺寸,对功耗有几个方面的影响。最大的潜在问题存在于静态功耗方面。如前所述,静态功耗由晶体管漏电流决定。不巧的是,沟道泄漏电流随晶体管尺寸的减小而增加。对于低VT晶体管(VT指栅极和漏极之间的阈值电压),尤其如此。



低VT晶体管是最快的晶体管,它的打开和传输延迟最短,故而当需要最高速度性能时,IC设计者在FPGA中使用低VT晶体管。当稍低的性能可以接受时,也使用普通VT的晶体管,这对降低漏电流帮助很大。图2表明,当从130 nm技术转向90 nm技术时,漏电流大大增加Virtex-II Pro器件使用130 nm工艺技术,而新型Virtex-4器件使用90 nm工艺技术。

  

三层氧化物—降低静态功耗的救星

三层氧化物简单地说就是在制造FPGA中的某些晶体管时,增加了第三种氧化物厚度(在Virtex-II Pro FPGA等器件中使用2种氧化物厚度)。过去,大多数晶体管只有很薄的氧化层。这些晶体管可以是低VT、普通VT、NMOS或PMOS晶体管。厚氧化层晶体管多数用于I/O驱动器和一些其它功能。



由于氧化物淀积技术取决于温度、浓度和曝光时间,因而在半导体行业中这是一种很稳定、可控制的工艺。图3a/3b所示的是用于三层氧化物工艺中的中等厚度的Virtex-4晶体管,氧化物的厚度仍然非常非常薄,但稍稍增加的这点厚度,就使晶体管漏电流比在Virtex-II Pro FPGA和Virtex-4 FPGA其它部分所采用的标准薄型氧化物低VT和普通VT晶体管的漏电流低很多。



如果三层氧化物真的是非常好的工艺,为什么其它公司,比如Intel或IBM不在其ASIC中采用呢?他们不采用的原因是他们的晶体管都要在高速下运行,因此,他们必须全部使用漏电流较大的低VT晶体管。然而FPGA有多种不同的晶体管类型,可以就功能、功耗或性能进行选择;对不同的功能,FPGA可以使用不同的晶体管类型,Xilinx设计人员已经实现了这种设计平衡。

  

设计者可依需要决定晶体管数目

IC设计者可以进行很多工作来调整各种晶体管的组合以优化某些要素。Virtex-4 FPGA是首个设计用于高速、低功耗的平台FPGA。低VT晶体管仅在需要最高速度时使用;三层氧化物工艺中的中等氧化层厚度则可能用在性能稍低、漏电流很低的应用中。设计者可以使用不同尺寸和类型的晶体管以获得需要的性能和功能,也可以将不同的晶体管组合起来,如将小型和中等尺寸的低VT快速晶体管和小型、中等尺寸中等氧化层厚度的晶体管组合起来。一种尺寸并不能满足所有的需要。



在Virtex-4平台中,IC设计者得到要降低功耗、并同时使系统性能达到最高的设计指示。这些晶体管被用于实现多种FPGA功能,包括LUT、I/O、互连和配置内存单元。即使在一个给定的FPGA功能中,所有的晶体管也不必相同,由IC设计者决定(见图4)。



这种平衡的惊人结果是,与使用130 nm工艺的Virtex-II Pro器件相比,使用90 nm工艺的Virtex-4器件的总静态电流降低了40%。表1所示的是:与Virtex-II Pro芯片相比,Virtex-4芯片中晶体管加权平均值与漏电流之间的变化关系,它使在Virtex-4 FPGA中晶体管漏电流减少。

  

动态功耗的降低

静态功耗的降低并非惟一有利因素。与Virtex-II Pro FPGA相比,动态功耗也降低了50%。FPGA的动态功耗由下面的方程式决定:

PDynamic=FPGACore (CV2f )+FPGAI/O (CV2f)

FPGA的Virtex-4系列由于可降低FPGA的内核动态功耗,以频率(f)和节点电容(C)二次计数,并维持恒常的FPGA I/O动态功耗。且其I/O标准、电压波动(VI/O)、开关速率(f)和引脚/触点电容(C)皆为定值,因此,即使对器件内部的动态功耗可能产生影响,但I/O开关消耗的动态功耗保持不变。从Virtex-II Pro FPGA的130 nm工艺转到Virtex-4 FPGA的90 nm工艺时,内部电源电压会从1.5V变为1.2V。这样,就降低了Virtex-II Pro FPGA中每个内部晶体管的动态功耗。



此外,Virtex-4 FPGA中的FPGA内部复合电容也降低了。这个内部电容包括晶体管的寄生电容和互连金属线之间的布线-金属和布线-布线电容。图5显示了与芯片结构有关的电容。

低K值会降低功耗吗?低K值指的是FPGA中金属布线之间的介电绝缘材料。低K值绝缘层确实降低了每单位布线长度的内部电容,但 “低K值” 是相对而言的。如前所述,动态功耗与离散电容和内部开关电平有关,P=CV2f中的各种因子是均衡的。互联机具有更低的内部电容值对降低动态功耗有好处,并降低了电阻-电容延迟,但其它因素,如距离上层金属层的距离、互联机宽度和长度,均会增加互联机电容。



路径总电容是晶体管中的寄生电容、互连沟道的结构、实际的沟道长度和互连开关跳变数量的复杂组合。Xilinx在Virtex-4 FPGA中降低了由这些因子构成的总电容。

总体效果主要是由于降低了栅电容,与Virtex-II Pro FPGA相比,Virtex-4 FPGA电容值降低了20%。表2说明:与Virtex-II Pro FPGA相比,Virtex-4 FPGA的动态功耗降低了50%。当频率升高50%时,动态功耗降低了23%。



因为Virtex-4 FPGA器件的性能比Virtex-II Pro FPGA的性能高很多,设计人员可利用它在高频下工作,以满足此前系统永远无法达到的新性能目标。



嵌入式模块

功耗方面的另一重大改进是在嵌入式功能方面。这一直是Xilinx FPGA的强项,而在Virtex-4 FPGA这一点得到了充分体现,即使与特性丰富的Virtex-II Pro FPGA相比,也是如此。在Virtex-4 FPGA中,您可以通过使用嵌入式功能,进一步利用降低了的静态和动态功耗。这些嵌入式功能被设置为硬逻辑功能。



当嵌入式功能作为硬逻辑功能得以执行时,它代替了可配置逻辑模块和可编程互联机的实现方式,消耗的静态和动态功耗就小得多。原因是硬、固定逻辑功能比可编程逻辑需要的晶体管数目少得多。另外,因为没有可编程互联机,在嵌入式功能中就不需要晶体管进行互连。



Xilinx仔细研究了对工程师造成困扰的、在FPGA可编程逻辑中执行起来很繁琐的一些功能。与可配置逻辑模块和可编程硅芯片中的常规产品相比,新的嵌入式功能将功耗降低了80%~95%。



结语

进行功耗计算时,另一个有用的工具是Xilinx数据手册,它可以给出典型功耗值和最大功耗值。最大值适用于最坏情况的工艺、温度和电压情况下。根据应用情况和一个系统中使用的器件数目,给出很多设计者喜欢使用典型值。



在计划Xilinx FPGA的功耗时,您可以利用的另一个非常有用的工具是功耗计算工具。可以获得Xilinx的网上功耗工具,来预先估计设计周期内的功耗。而且,作为Xilinx设计流程的一部分,XPower可以研究映像的或常规的设计中更详细的情况。通过使用术语“Xilinx 功耗工具”在Xilinx网上进行搜索,可以找到这些信息,以及功耗应用指南。



与Virtex-II Pro FPGA和我们的竞争厂商的产品相比,Xilinx在Virtex-4 FPGA 90 nm系列的静态和动态功耗方面取得了显著提高。我们通过使用多种富有针对性的方法,降低了漏电流和动态功耗、增加了嵌入式功能、而不损害其性能,从而实现了上述成果。这些和全面的功耗计算工具一起,使得Virtex-4器件成为高性能FPGA系统的出色选择。



Virtex-4嵌入式功能和动态功耗降低

PowerPC与Virtex-II Pro PowerPC相比,功耗降低了50%

功耗是FPGA一般逻辑结构的1/10

DSP XtremeDSP片大大减少了滤波器功能所需逻辑单元

与Virtex-II Pro分立的乘法/累加功能相比,功耗为它的1/20

SSIO 新ChipSync模块减少了SSIO(源同步I/O)设计的逻辑单元数目

大大节省了多种内存和网络接口设计的逻辑单元数目,使定制设计的总功耗降为1/9嵌入式以太网MAC(s)-MAC功能不需要使用逻辑和互联机,为Xilinx方案节省了3,000多个逻辑单元。

FIFO SmartRAM内存包括内置的FIFO控制器,每FIFO可以节省数百个逻辑单元,并大大简化了设计。